約 1,344,894 件
https://w.atwiki.jp/smashsp_kensyou/pages/91.html
はじめに RKJXV1224005とSwitchプロコン交換したデバイスの不具合 【検証1】Switchプロコンでの動作確認検証方法 検証対象のデバイス 動作結果 【補助検証】他のコントローラーでの動作確認 結論&課題 【検証2】可変抵抗器の電気的特性の計測計測方法 測定結果 考察・議論 課題・改善点 まとめ 補足:Switchプロコンの故障について おわりに コメント はじめに ここでは主に、スティックデバイスの特性およびコントローラーとの相性についての検証結果を掲載する。 関連ページ:スティックデバイス【解説】 この記事では便宜上、スティック関連の用語を次のように呼びます(表と図を参照)。 用語 説明 スティックデバイス または デバイス スティック用電子パーツ レバー スティックデバイスの棒状可動部分 スティック軸 または スティック スティック用プラスチックパーツ 皿 スティック軸の指を乗せる部分 傘 スティック軸下部の半球
https://w.atwiki.jp/pcmbeta/pages/14.html
概要2009年9月20日購入、限定タイムセール価格 21,714円 USBメモリー起動でVMware ESXi、内蔵ディスク起動でHyper-Vサーバとして利用 LGA1156対応Xeon×1soketマザー Intel GbE×2本搭載 (82574L + 82578DM) オンボードグラフィックス Intel ServerEngines LLC Pilot II BMC Controller 公式には、Core i7 / i5 非対応、non-ECCメモリー非対応 注意点フロントパネル接続ピンヘッダは、グランドが2本しかない キーボード/マウスはUSBのみ EPS12V(8ピンコネクタ)の供給が必要 現物確認結果ハードウェアCore i5-750 にて動作OK → Core i7-860 に交換し動作OK(2012年11月) DDR3-1333 SDRAM non-ECC unbufferd メモリー 2GB×4で動作OK → 4GB×4に交換し動作OK(2011年10月) ぷらっとホームKVM切替器PShare Multi 4 で RC-U2MK の動作OK NICはオンボード2ポートに加え、iSCSI対応と2セグメントアプリ対応のためIntel PRO/1000MT Gigabit CT Desktop(PCIe)を2枚増設、合計4ポート (下記ESXi認識が解消でき次第、iSCSIには2本割り当て冗長構成を予定) VMwareESXi 4.0.0 (Build 171294→193498) 動作OK、ただし問題点ありオンボードNICの片側(82578DM)が認識されない オンボードSATA-I/F(3420PCH)が認識されない(ENHANCED、AHCIともに) BIOSでVT-dを有効化すると、ESXi起動に失敗する場合がある(必ず起こるとは限らない) → 当分は無効化して使用し、BIOSまたはESXiのアップデート待ちとする稼働失敗メッセージ vtd failed to load with status -1, -1, 0xbad0001. ESXi健全性ステータス画面からはファン動作状況が見られないBIOSアップデート実施時にシャシ情報を更新することで、ファン動作状況が見えるようになった 2009年11月19日にESXi 4.0.0 Update-1がリリース対応ハードウェアにXeon 3400シリーズが追加 (4.0.0 Update-1 リリースノート(日本語) @ VMware) 互換性ガイド @ VMwareによると、Intel Server Board S3420GPはサポート対象に含まれているが、NICのIntel 82578DMはUpdate-1でもまだ含まれていない 実機で動作確認したところ、オンボードSATA-I/F(3420PCH)は認識されるようになったが、オンボードNICの片側(82578DM)は相変わらず認識されない その後、2010年頃のUpdateで82758DMが認識できるようになった。 ESXi 5.1.0a 動作OK (2012年11月) Hyper-VWindows Server 2008 R2 にて動作OK オンボードNICの片側(82578DM)は、別途ドライバーインストールで認識 情報リンク基本仕様 @ Intel Technical Product Specification @ Intel ... 43~44ページ目にVT関係のBIOS設定、68ページ目にピンヘッダアサイン掲載 販売記事 @ Akiba PC Hotline! Ethernet Server Adapter @ Intel Ethernet Desktop Adapter Intel ちょっと偏ったPS/2→USB変換アダプタ選び @ 藤枝様 USB→PS/2変換アダプタ RC-U2MK @ あきばお~
https://w.atwiki.jp/antiqrm/pages/92.html
*体験版 体験版あり:1作目、2作目(魔法使い、アラロス) 体験版なし:3作目、4作目(鳩蟻、黒蟻) 某SNSのシナリオライター兼代表の日記より 今回、体験版は確実に間に合わない。(少なくとも予約締切日までには絶対に) まあ…下手に体験版にこだわってバグを出すとか延期になるよりも建設的かと思います。 ……あとでゆっくり作ろう。 鳩蟻発売1ヶ月前の日記、あとで作ろうとはあるが現在(08/1/13)まで体験版なし。 今後体験版を作るか不明。 3作目は体験版はないが使用ツールが前作と同じため、動作確認はまだアラロス体験版で代用出来るかもしれない。 4作目の黒蟻は使用ツールを変更、使い慣れていないからかバグ多し、 推奨動作環境内でもフリーズが頻繁に起こる等報告があるが事前に動作確認むりぽ。 関連:【[[作品]]】【[[アラロス体験版]]】【[[クロアリバグ 修正パッチ騒動]]】
https://w.atwiki.jp/wiki-inspiron/pages/156.html
eSATAを増設したい。 Inspiron 531で動作確認 eSATA PCI Express ボード 「REX-PE30S」 http //www.ratocsystems.com/products/subpage/pe30s.html (メーカーサイト) 外部にeSATAポート1、ケース内部にSATA 1ポートの増設が可能 ポートマルチプライヤ、ロープロ対応、ロープロ用のブラケット付属 Vistaはボードを挿してPCを起動すれば自動で認識、ドライバも自動で入った。 特に複雑な設定や、CR-Rからのドライバのインストールなどは不要 Inspiron 531で動作確認 PC連動電源機能搭載2BAY USB2.0/eSATA接続 3.5インチSATAハードディスクケース 「CG-HDC2EU3100」 http //corega.jp/prod/hdc2eu3100/ (メーカーサイト) 写真だと分かりにくいが本体はプラスチック製、両側面はアルミ製のカバー REX-PE30SからeSATA接続、コレガのケース内にWDの320GB SATA 7200rpmを入れた。 内蔵HGST HDS721010CLA332からeSATA接続のCG-HDC2EU3100に2GB程度のデータ移動、 大体120MB/秒程度の速度でデータのコピーが出来るようになった。 WESTERN DIGITAL WD20EARS (2TB SATA300)も動作確認、シングルモードで2TB認識、フォーマットもOK(54%で止まったりもしなかった) 外付けになるが、eSATAなら内蔵時と速度はほぼ変わらない。 HDDのフォーマット(クイックではない)の速度も速い。 コレガ CG-HDC2EU3100の付属品はSATAをeSATAに変換するケーブル、eSATAケーブル、USBケーブル、HDD固定用のインチネジも付いてくる。 (私の531はDVDドライブ2台、HDD2台でSATAに空きポートがなかったので上記のボードでeSATAを増設した。) おまけ程度のFANがケース背面にあるが、ケース内に熱が篭り中のHDDが壊れたとの価格の口コミがあるので、設置場所には注意が必要
https://w.atwiki.jp/redcloud/pages/16.html
目次 目次 Ver 2.1.8 Windowsへ導入 インストール 動作確認 conventionプラグインの適用 リンク Ver 2.1.8 Windowsへ導入 インストール ダウンロードして展開するだけ 動作確認 Eclipseで動的Webプロジェクト作成 ※前提条件…JDK6 + Tomcat6でEclipse導入済みであること [ファイル]→[新規]→[動的Webプロジェクト] 全部デフォルトのまま完了させる META-INFフォルダを削除 ダウンロードアーカイブに含まれるサンプルアプリ struts-blank を解凍 C \local cd struts-2.1.8\apps C \local\struts-2.1.8\apps jar xvf struts2-blank-2.1.8.war 展開されたファイルを先ほどのEclipseプロジェクトフォルダにコピー exampleフォルダ → WebContentフォルダ下へ WEB-INFフォルダ → WebContentフォルダ下へ index.html → WebContentフォルダ下へ Eclipseのビルドパス修正 対象プロジェクトを右クリック→[プロパティ]→[Javaのビルドパス] ソースフォルダ修正 デフォルトフォルダ削除 WEB-INF/src/java フォルダ追加 デフォルト出力フォルダを WEB-INF/classes に修正 Eclipseからビルド・デプロイ ※前提条件…Tomcat6サーバープロジェクトが作成済みであること 対象サーバプロジェクトをサーバービューから右クリック→[プロジェクト追加および除去] サーバービューからTomcat起動 ブラウザで確認 http //localhost 8080/test_struts218 Struts is up and running ... という画面が出ればOK conventionプラグインの適用 TODO リンク TODO
https://w.atwiki.jp/allaboutdryprinter/pages/35.html
・Windows環境 *Windows95詳しい方、記述をお願いします *Windows98/98SE詳しい方、記述をお願いします *WindowsMe詳しい方、記述をお願いします *Windows2000詳しい方、記述をお願いします *WindowsXP ---------------------------------------------------------------------- WindowsXP SP3で動作確認済み パラレルケーブル及び純正USBパラレル変換ケーブル(MDT-USBC) 共にAlps純正プリンタドライバVer2.3.2を使用 PC HP D530SFF(パラレルポート付き) CPU Cel2.2GHz Mem 512MB Chipset i865G [MDT-USBCの場合の設定] (MDT-USBCのドライバはOS純正でOK) ポート USB001(002でも003でも良いと思う。デフォルト設定) 説明 Virtual printer port for USB(=OS純正ドライバ) その他 双方向サポートを有効にする(デフォルト設定) ---------------------------------------------------------------------- *WindowsVista詳しい方、記述をお願いします *Windows7詳しい方、記述をお願いします ・Macintosh環境 *Mac OS7.6 詳しい方、記述をお願いします *Mac OS8詳しい方、記述をお願いします *Mac OS9ドライバ(機能拡張)のベンダーID・プロダクトID書き換えにより、エレコム・SANWAの双方向USBパラレル変換ケーブルが使用可。※ドライバv6.43で確認 5000以外での報告は聞かれないが、1xxx系でも認識している。 *Mac OS X v10.0(Cheetah)詳しい方、記述をお願いします *Mac OS X v10.1 (Puma)詳しい方、記述をお願いします *Mac OS X v10.2 (Jaguar)詳しい方、記述をお願いします *Mac OS X v10.3 (Panther)詳しい方、記述をお願いします *Mac OS X v10.4 (Tiger) ・PowerPC 詳しい方、記述をお願いします ・Intel 詳しい方、記述をお願いします *Mac OS X v10.5 (Leopard) ・PowerPC 詳しい方、記述をお願いします ・Intel 詳しい方、記述をお願いします*Mac OS X v10.6 (Snow Leopard)詳しい方、記述をお願いします
https://w.atwiki.jp/dmori/pages/70.html
$randomの罠概要 動作確認 テストコードcase defaultの発生 case -1の追加 $randomの罠 概要 テストシナリオを作成する時に、$randomを活用する。 で、はまりました。 動作確認 ツール バージョン 結果 NC-Verilog NCV_IUS6.11_s004 ○ VCS-MX VCS_2005.06-SP2 ○ ModelSim 未確認 Cver GPLCVER_2.12a of 05/16/07 ○ テストコード ランダム値を2で割って、そのあまりをcase文に使う。 case defaultの発生 module test; initial begin repeat(10)begin case($random % 2) 0 $display("case 0"); 1 $display("case 1"); default $display("case default"); endcase end end endmodule case 0 case default case default case default case 1 case 1 case default case 0 case 1 case 1 0,1のいずれかのみ発生・・・とおもいきや、defaultも発生しています。 case -1の追加 module test; initial begin repeat(10)begin case($random % 2) 0 $display("case 0"); 1 $display("case 1"); -1 $display("case -1"); default $display("case default"); endcase end end endmodule case 0 case -1 case -1 case -1 case 1 case 1 case -1 case 0 case 1 case 1 その正体は"-1"でした。 seedを変えていないので、"case default"の位置に"case -1"が表示されています。 また、defaultの発生もありません。 case文に直接記述した為、符号あり整数として処理され、負の余りは負の値になります。 あーなるほど。そういえばランダムシードも符合付きで負の値になったりしますよね。ベンダーに文句をいったら、仕方がないと言われました。 - ともすけ 2012-07-07 00 38 07 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/emups2/pages/55.html
PS2ソフト動作報告 - け Genji(動作状況 ○) Get Ride! アムドライバー 相克の真実(動作状況 〇) K-1 WORLD GP 2006(動作状況:○) ゲームになったよ!ドクロちゃん ~健康診断大作戦~(動作状況 ○) ゲゲゲの鬼太郎 異聞妖怪奇譚(動作状況 ○) ゲッタウェイ(動作状況 ○) ゲッタウェイ ブラックマンデー(動作状況 ○) ゲットバス バトル(動作状況 ○) ゲットバッカーズ奪還屋 奪われた無限城(動作状況 〇) ゲットバッカーズ奪還屋 ~裏新宿最強バトル~(動作状況 〇) ゲットバッカーズ奪還屋 奪還だヨ!全員集合!!(動作状況 〇) ケロロ軍曹 メロメロバトルロイヤル (動作状況 ○) ケロロ軍曹 メロメロバトルロイヤルZ (動作状況 ○) げんしのことば(動作状況 ○) 経営シミュレーション ジュラシックパーク(動作状況:△) 劇空間プロ野球 AT THE END OF THE CENTURY 1999(動作状況 ○) 激写ボーイ2~特ダネ大国ニッポン~(動作状況 〇) 激闘プロ野球 水島新司オールスターズvsプロ野球(動作状況:◎) 決戦(動作状況 ○) 決戦II(動作状況 〇) 決戦Ⅲ(動作状況 ○) 月面兎兵器ミーナ -ふたつのPROJECT M- (動作状況 ○) 喧嘩番長(動作状況:○) 喧嘩番長2 ~フルスロットル~(動作状況:○) 剣豪 (動作状況 ○) 剣豪2 (動作状況 ○) 剣豪3(動作状況 ○) 建設重機喧嘩バトル ぶちギレ金剛!!(動作状況 ○) 幻想水滸伝III (動作状況 ○) 幻想水滸伝Ⅳ(動作状況:〇) 幻想水滸伝V(ス)(動作状況 ○) 絢爛舞踏祭(動作状況 〇) Genji(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/11/02 Get Ride! アムドライバー 相克の真実(動作状況 〇) PCSX2 1.7.5201 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 起動確認程度の動作確認 オープニングムービーの下部の表示が乱れる レンダラーをsoftにすると正常に表示される 上記以外は確認した範囲で問題なし ゲーム中3Xnativeで常時60fps ■備考 2023/11/11 K-1 WORLD GP 2006(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 ゲームになったよ!ドクロちゃん ~健康診断大作戦~(動作状況 ○) pcsx2-v1.7.0-dev-487 GSdx32-avx2 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 OpenGLで60FPS 1つ前のバージョンで起動用修正パッチが入ったので普通に起動する。 とりあえずタイトル画面まで確認。 ■備考 最近のverでサウンドプラグインとCDVDプラグインがPCSX2に内蔵されたので項目を変更 PSI氏による パッチの解説 投稿 2020/10/28 ゲゲゲの鬼太郎 異聞妖怪奇譚(動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeでほぼ常時60FPS。ムービーがHDDの場合一瞬引っかかるかもしれないのでISOはSSDドライブ推奨。 第1話クリアまで確認。一応問題のあったところ(3D移動マップ)も通過可能だが特定箇所でハングアップする可能性もある。ただしその箇所を無視しても以前何も起きなかったところで通過可能イベントが起きたので未確認。 ■備考 ゲーム自体音声スキップ不可とか3Dマップ移動が遅いので細かい検証はしにくい。 投稿:2018/10/26 ゲッタウェイ(動作状況 ○) PCSX2 r3538 GGSdx 3536 SSE4 SPU-2 r3532 SCPH-15000 C2D E8500 DDR2-800 1Gx4 GeForce8800GT WindowsVista32bit SP2 ■動作速度・不具合の有無 ハードレンダ+hackをフルに使用すれば基本的に常時60fpsで進行可能 ■備考 クリア確認 車両のエンブレムの文字が読めるほど綺麗な画面 pcsx2-v1.7.2618 Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 50~60FPS。ハードレンダだとムービーが重く42~60FPS 起動確認程度 ■備考 投稿 2022/04/16 ゲッタウェイ ブラックマンデー(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS ゲームオーバー時などで画面が化ける 起動確認程度 ■備考 投稿 2021/11/18 ゲットバス バトル(動作状況 ○) pcsx2 0.9.9.4671 GSdx4706 0.1.16 SPU2-X r4701 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 トレーニング・フリーフィッシング・トーナメント・VS CPUなどを少しずつ遊ぶ トータル:8/重さ 16911g アベレージ:2113g 概ね60fpsで快適動作 (VS CPUでポリゴンCPUキャラ等常時に45fpsくらいに低下するが支障ない範囲) ■備考 投稿:2011/6/7 ゲットバッカーズ奪還屋 奪われた無限城(動作状況 〇) PCSX2 1.7.3223 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 冒頭部~数戦闘で軽く動作確認 確認した範囲で問題なし Direct3D11 3Xnativeで常時60fps動作 ■備考 2022/9/12 ゲットバッカーズ奪還屋 ~裏新宿最強バトル~(動作状況 〇) PCSX2 1.7.2344 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 蛮選択でstage1-1クリアまで動作確認 確認した範囲で問題なし 3Xnativeで常時60fps動作 ■備考 2022/2/9 ゲットバッカーズ奪還屋 奪還だヨ!全員集合!!(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 シナリオモード 奪還依頼ファイル#01クリアまで動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2021/1/1 ケロロ軍曹 メロメロバトルロイヤル (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(SW)、DirectX11(SW)でほぼ常時60FPS。HWだとテキストが表示されない。 HWでもNativeならテキスト表示可能な設定があるが2x以上にするとテキストがまともに表示されないのでSWで 1戦のみのチェック ■備考 投稿 2018/08/24 ケロロ軍曹 メロメロバトルロイヤルZ (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(SW)でほぼ常時60FPS。 HWではテキストが表示されないか化ける。 ■備考 投稿 2018/08/26 げんしのことば(動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeで常時60FPS。Native解像度が320x224とPS1クラスなので8xNativeでもよさげ。 1章のみチェック。 ■備考 投稿 2018/10/25 pcsx2-v1.7.5449 Direct3D12 ISO起動 SCPH-70000 Intel N95 8GBx1 UHD Graphics Windows11 ■動作速度・不具合の有無 2xNativeでほぼGame 60FPS Video 60FPS(100%) たまに重い処理でFPSが下がるが支障はない エンディングまで確認 ■備考 投稿 2024/01/20 経営シミュレーション ジュラシックパーク(動作状況:△) PCSX2 1.2.1 r5875 GSdx AVX r5875 SPU2-X r-5830 cdvdGigaherz 0.9.0 Core(TM)i5-4590T 2.00-3.00GHz DDR3-1600 4GBx2 GeForceGTX750 Windows7 Professional ■動作速度・不具合の有無 起動や操作自体は特に問題なさそう アイコンやマーク等の一枚絵がモザイクまみれ、恐竜のポリゴンが真っ黒、構造物が雪像状態、植物が一切写らないなど、画像処理に深刻な問題あり ■備考 上記の症状はハードウェア描画でのもの。ソフトウェア描画では一枚絵や植物が写ったり恐竜に色が付いたりするものの、今度はポリゴンがモザイクまみれになるため、やはり見るに耐えない状態。 15/7/7現在最新の開発ver(v1.3.1-661)で試したがなんら変わりなかった 劇空間プロ野球 AT THE END OF THE CENTURY 1999(動作状況 ○) pcsx2-v1.7.3495 Direct3D12 ISO起動 SCPH-77000 Intel Core i7-9750H @2.60GHz DDR4 8GBx2 GeForce GTX 1660Ti Win10 64bit ■動作速度・不具合の有無 1試合プレイ。プレイ自体は可能。 6xNativeで60fpsを維持。 試合中、選手や審判の体の輪郭が二重に見える。GraphicsのRound SpriteをFullにすると少し緩和されるが、完全ではない。 進行できない等のシステム上の不具合は今のところ見受けられない。 ■備考 投稿:2022/10/30 激写ボーイ2~特ダネ大国ニッポン~(動作状況 〇) PCSX2 1.7.0-dev-1420 GSdx Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 ギンザ歩行者天国EASYでクリアの動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2021/7/4 激闘プロ野球 水島新司オールスターズvsプロ野球(動作状況:◎) pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 オープン戦 巨人vs阪神 水島キャラ使用(山田 岩鬼 坂田三吉 真田一球 藤村甲子園 水原勇気) ペナント 阪神-ベイスターズ それぞれ1試合プレイ D3D(HW) Native×6で快適動作 確認した範囲で不具合も見当たらず ■備考 投稿 2019/04/03 決戦(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX11(HW)、6xNativeで60fps。SWかNativeの場合下記設定は不要 ゲームフィックスでFMVのみソフトウェアにチェック GSdx設定でEnable HW HacksにチェックしてAlpha StencilとAuto Flushにチェック、RoundをHalfにする チュートリアルで軽くチェックのみ ■備考 v1.7.0ではゲームフィックスでFMVのみソフトウェアにチェックがなくなっているのでSWかNativeで タイトル画面が正常に表示されるバージョンならほぼ問題なく動作。 PC環境がかなり違うがv0.9.8くらいで昔クリアはしている。が、具体的なバージョンは忘れたので参考程度に。 pcsx2-v1.0.0では正常に表示されないので見比べてみれば分かる。 投稿:2021/05/22 決戦II(動作状況 〇) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 チュートリアル(徐州の戦い)終了まで動作確認 関羽のヒゲなど表示が乱れる D3D(HW)/(SW)切り替えるも改善せず プレイには影響の無い範囲 6xNativeで60fps動作 ■備考 2020/4/29 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeで50~60FPS。MTVUにもチェック ゲームインデックスに登録されているパッチが間違っているので修正する必要がある。パッチの所に追加している。 チュートリアルだけチェック。 ■備考 速度低下に関しての説明はWin10の一部環境で起こるゲーム速度低下に引っかかっていたので削除した 投稿 2021/07/04 (動作状況 ◎) PCSX2 1.6.0 GSdx 1.2.0 SPU2-X 2.0.0 ISO起動 i5-11400 CPU @ 2.60GHz DDR4 16GB Geforce GTX 1660 Super Windows 10 64bit ■動作速度・不具合の有無 3xNativeで60fps 劉備編クリア ゲームインデックスのパッチをWikiのパッチのページに従い修正しないとポリゴン描画に異常あり Direct3D(HW)だと妖術発動時に黒い線が出る。OpenGL(HW)なら問題なし。 ■備考 投稿 2021/07/08 決戦Ⅲ(動作状況 ○) PCSX2 0.94 GSdx1.0.10.9 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 SCPH-50000 Athlon64×2 5600+(定格) DDR2 800 1GBx2 Geforce7900GS 256MB WindowsXP SP2 ■動作速度・不具合の有無 戦闘画面でも50FPS以上。かなり軽い。 ZeroGSだと戦闘画面の右上のマップが表示されない。 GSdxだとイベントシーンが正常に表示されない。 ■備考 月面兎兵器ミーナ -ふたつのPROJECT M- (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、4xNativeでほぼ常時60FPS。 最初の戦闘まで確認 ■備考 投稿 2018/08/26 喧嘩番長(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 喧嘩番長2 ~フルスロットル~(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 剣豪 (動作状況 ○) pcsx2-v1.5.0-dev-2229 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 軽くチェックしたのみだが、問題はなさそう。 ■備考 投稿:2017/11/28 剣豪2 (動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeでほぼ常時60FPS。テキストが読みにくいのと画質向上が微妙なのでSWでいいかも。 若干の表示不具合があるがプレイに影響はない。 序盤のみのチェック ■備考 投稿 2018/10/26 剣豪3(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS とりあえずの設定だが、HW Hacks内のDisable DEPTH Emulationにチェック(テキスト表示一応改善)、RoundをHalf(テキストのゴミ消去)に 序盤のみチェック ■備考 とりあえずv1.7.4400付近だとHW・SWにかかわらずテクスチャが張られないのかキャラが黒くなったりする。 投稿 2023/04/21 建設重機喧嘩バトル ぶちギレ金剛!!(動作状況 ○) PCSX2 0.9.7.3795 GSdx 3693(0.1.16) SPU2-X r3762 1.4.0 Linuz Iso CDVD 0.9.0 C2D E8500@定格 DDR2 1Gx2 GeForce9800GTX+ Win7 ult 32bit ■動作速度・不具合の有無 デフォルト設定だと、音は出るが、画面出ない GameFixesで「Skip MPEG hack(以下略)」にチェックで起動 但し、タイトル画面で文字が正常表示されず。 目押し(?)でシナリオモード開始「壇乃浦」「珠乃丞」勝利確認 重機バトルは50-40fps 多少のもっさり感 ADVパートは60fps セーブする/しないの文字が表示されないが、音を頼りに目押し可能と思われる 総合的に判断して「遊べるレベルにはある」と思う 文字表示の問題は設定で何とかなるかも知れないが、深くは追求しません(^^; 時間がある方のフォロー求む(^^; ■備考 投稿:2010/09/26 pcsx2-v1.5.0-dev-2156でOpenGL(SW)で画面表示が正常になっている。DirectXでは画面が真っ黒 ムービーも序盤チェックしただけだが止まることもなく再生可能。 補足:2017/08/30 幻想水滸伝III (動作状況 ○) PCSX2 r1474 GSdx r1650 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 序盤だけ確認。場面によってFPS40-60変動 とにかく安定しないが設定次第で治せる(後述) Gsdx10Hard(1600x1600) ■備考 FPSが50前後になる場面で、解像度をNative,1024,1600と変えたが変化無し(CPU依存?) Use x1.5 Cyde Rate, INTC Sync Hack, VU Cyde Stealing(左から二つ移動)、アドバンスはデフォ 上記のスピハク設定で60FPS固定するが、微妙にカクつく ヤザ平原からビュッデヒュッケ城へ行こうとすると必ずフリーズ。r4915現在クリア不可。 PCSX2 1.4.0 GSdx32-ss2 SPU2-X-2.0.0 cDVDGigaherz 0.9.0 Corei7 960 @3.20GHz DDR3 12GB Geforce GTX760 Windows7 Ultimate 64bit ■動作速度・不具合の有無 クリア確認 街のオブジェクトが多い区画や高負荷な魔法 FPS40-50 回想シーンでは表示バグが発生して処理落ち FPS30-40 クリス編二章のイクセ村でVU Cycle Stealingをいじっていると進行不能になる ふるい本を渡した際、選択画面からすぐに戻ると進行不能になる(すべての本を読んでから戻れば問題なし) ■備考 INTC Spin Detection、Wait Loop Detection、mVU Flag Hack有効化 上記進行不能箇所以外はVU Cycle Stealing2 幻想水滸伝Ⅳ(動作状況:〇) pcsx2-097 r4031 GSdx-4031 SPU2-r3993 140 Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 スタート画面NEWGAMEは選べるが、コンテニューを選ぶとブラックアウト 序盤だけ確認。場面によってFPS 30-60 人が多い場所は fps30前後 ムービーでも強制終了しない PCSX2 1.4.0 GSdx32-ss2 SPU2-X-2.0.0 cDVDGigaherz 0.9.0 Corei7 960 @3.20GHz DDR3 12GB Geforce GTX760 Windows7 Ultimate 64bit ■動作速度・不具合の有無 クリア確認 ムービー中にちらつきがある 人が多い場所、大人数の戦闘、一部のイベント FPS40-50 ■備考 INTC Spin Detection、Wait Loop Detection、mVU Flag Hack有効化 VU Cycle Stealing0 幻想水滸伝V(ス)(動作状況 ○) PCSX2 0.97r2186legacy GSdx2430 SPU2-X r2393 1.30 SCPH-70000J C2D E8500 3.0Ghz PC6400 8GB GeforceGTX260 896MB Windows7 ■動作速度・不具合の有無 平均FPS常時60 ~上記内容でクリア確認~ しかしムービーが入るとほぼ確実に止まるためその場合は下記参照で回避可能↓ 1・ゲーム起動後Escで一旦抜けてその他の一番上を選ぶ 2・下から二番目のSkip MPEGを押し出てきた項目にチェックしYESにする 3・これでムービーを飛ばしてラストまでエンジョイしますたd(・∀・) 絢爛舞踏祭(動作状況 〇) pcsx2-v1.5.0-dev-2871-ge0877608a core2quad Q6700 DDR2 6GB GT730 WIN10pro(x64) ■動作速度・不具合の有無 DX11で試用、序盤のみと主人公不在の日で動作確認 (動作状況:〇) 画面暗転は改善されていますが、まだフィルターの再現に難あり。 ただチートコードのデバッグで「フィルターOFF」を併用すれば問題なし。 若干動作が重いがフレームスキップで改善されるため十分遊べるレベルにはある ■備考 当方所有のPCが古いため重いのですが、もしかすると現行主力のCPUと そこそこの性能のGPUがあれば、もっと快適かもしれません 投稿:2019/02/06 グレートエンディング確認、件のフィルター以外完全動作を確認 先の報告者様のコメントを下に下げ、動作状況を〇に修正します 追加報告 2019/02/17 pcsx2-v1.7.2622 Direct3D12 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 最初の戦闘までチェック。プレイに支障のある不具合はない ■備考 投稿 2022/04/17 |PCSX2 r-4600 C2D E8400@定格 DDR2 2Gx2 GeForce7900GS WinXP 32bit ■動作速度・不具合の有無 デフォルト設定だと、音は出るが、画面出ない EE/IOPのClamping ModeをFullGameFixesでキャラ&背景が出る ■備考 投稿:2011/09/19
https://w.atwiki.jp/dmori/pages/37.html
classを使う概要 動作確認 テストコード classを使う 概要 SystemVerilogのクラスをつかうサンプルです。 勉強用。 動作確認 ツール バージョン 結果 ncverilog 06.11-s004 OK VCS-MX 未確認 ModelSim 未確認 テストコード mapsクラスを2個生成し、値を代入します。 それぞれ個別に動作していることを確認。 program test1; class maps; local int hoge[]; local int bar []; local int size_x; local int size_y; function new (int x,int y); this.size_x = x; this.size_y = y; this.hoge = new[x*y]; this.bar = new[x*y]; endfunction function int get_hoge(int x,int y); get_hoge = hoge[y*size_x+x]; endfunction function void set_hoge(int x,int y,int val); hoge[y*size_x+x]=val; endfunction endclass /////////////////////////////////// initial begin maps tr; maps tr2; int a; tr = new(5,3); tr2= new(10,10); tr.set_hoge(0,0, 5); tr.set_hoge(1,0,10); tr.set_hoge(2,0,13); tr2.set_hoge(0,6, 5); tr2.set_hoge(1,6,10); tr2.set_hoge(2,6,13); for(int i = 0;i =2 ; i++)begin a = tr.get_hoge(i,0); $display("a=%0d i=%0d",a,i); end //tr.hoge[1]=2; for(int y = 0;y 3 ; y++)begin for(int x = 0;x 5 ; x++)begin a = tr.get_hoge(x,y); $display("tr[%0d,%0d]=%0d ",x,y,a); end end for(int y = 0;y 10 ; y++)begin for(int x = 0;x 10 ; x++)begin a = tr2.get_hoge(x,y); $display("tr2[%0d,%0d]=%0d ",x,y,a); end end endendprogram 名前
https://w.atwiki.jp/w62ca_gzone/
W62CA G z one wiki お知らせ このwikiのメンテナンスはあまりできませんでしたが、 現状、すでに更新される内容が少なくなってきたので各ページを凍結したいと思います。 (荒らしなど対策の為) アンケートなどは一部、編集できるようにしておくつもりです。 【現行スレッド】 【G'zGEAR】 au WIN G'zOne W62CA by CASIO Part35 テンプレ一覧はこちら 現在電池パック1個を無料で手に入れよう サポートサービス加入者はauショップなど手続きをすれば電池パックが貰えます。 W62CAの入手について 平成21年5月17日(日) 店舗での入手は困難なようです ※せっかくなのでBluetootheの動作確認もまとめ始めました。 情報提供などご協力お願いします。 こちら→Bluetooth動作確認一覧 編集などした場合はこちらまで 編集報告